欢迎来到求知题库网 考试题库官网
全部科目 > 大学试题 > 计算机科学 > VHDL语言

填空题

VHDL语言中std_logic类型取值()表示高阻,取值‘X’表示不确定。

    【参考答案】

    ‘Z’

    点击查看答案

    相关考题